code: plan9front

Download patch

ref: e6220b39c97171fe9372e2b14a94dd555e0dc0f5
parent: 1bd4c243ada35e70ff3c92e4019fb6adcc9b4bdc
author: cinap_lenrek <cinap_lenrek@felloff.net>
date: Fri Jun 19 05:02:59 EDT 2015

exec(2): return register (R0) contains address of Tos structure.

--- a/sys/man/2/exec
+++ b/sys/man/2/exec
@@ -175,7 +175,9 @@
 followed by a terminating null pointer; and
 the return register (e.g.
 .B R0
-on the 68020) contains the address of the clock information.
+on the 68020) contains the address of the
+.B Tos
+structure.
 .SH SOURCE
 .B /sys/src/libc/9syscall
 .br